急求用74ls161设计24进制计数器

2021-11-04 情感 798阅读
74ls161是四位同步二进制加法计数器,可用两片74ls161级联做出24进制计数器,首先第一片作低位计数,第二片作高位计数;当时钟信号一到来时,低位计数器计数一次,一共计数16次计数器本身会自动清零重新开始计数同时会产生一个进位信号,将这个进位信号接到高位计数器的时钟信号端,这样低位计数器满16进位使高位计数器计数一次,之后因为是同步计数器,所以当计数总数到23时通过附加门电路译出进位信号和清零信号,就是将高位计数器Q0端与低位计数器Q2Q1Q0端通过与非门译出进位清零信号,然后等在到来一个时钟信号就能清零两个计数器,此时计数总数刚好25。
希望我的回答能帮助到你。
声明:你问我答网所有作品(图文、音视频)均由用户自行上传分享,仅供网友学习交流。若您的权利被侵害,请联系fangmu6661024@163.com