集成计数器的同步清零与异步清零有何区别?

2022-08-05 社会 129阅读
异步清零可以不顾时钟信号,只要清零信号到来就进行清零操作。同步清零即使清零信号有效也要等时钟信号有效沿到来时才清零

比如对于verilog语言来说
always@(posedge clk or posedge clr)
begin

if(clr)

清零

end

这就是异步清零

always@(posedge clk)
begin
if(clr)
清零
end
这就是同步清零
声明:你问我答网所有作品(图文、音视频)均由用户自行上传分享,仅供网友学习交流。若您的权利被侵害,请联系fangmu6661024@163.com