multisim仿真555单稳态触发器,输入端什么都没接,为啥输出端一直是高电平呢

2020-06-26 科技 173阅读
4脚处于开路(悬空)状态,软件默认输入低电平(实际TTL输入端悬空默认高电平),因此置“1”信号有效,Q当然一直是高电平啰!
声明:你问我答网所有作品(图文、音视频)均由用户自行上传分享,仅供网友学习交流。若您的权利被侵害,请联系fangmu6661024@163.com