写出一个算术逻辑单元(ALU)的verilogHDL描述。

2022-03-16 科技 86阅读
modulealu(A,B,sel,out,clk);
inputA;
inputB;
inputsel;
inputclk;
outputout;
wire[3:0]A;
wire[3:0]B;
wireclk;
wire[2:0]sel;
reg[3:0]out;
always@(posedgeclk)
begin
case(sel)
3'b000:out=A+B;
3'b001:out=A-B;
3'b010:out=A+1;
3'b011:out=A-1;
3'b100:out=A&B;
3'b101:out=A|B;
3'b110:out=~A;
3'b111:out=A^B;
default:out=0;
endcase
end
endmodule
参考我的另外一个回答,
http://zhidao.baidu.com/question/161371956.html
声明:你问我答网所有作品(图文、音视频)均由用户自行上传分享,仅供网友学习交流。若您的权利被侵害,请联系fangmu6661024@163.com